koktoh の雑記帳

気ままに書いていきます

IVL2-7/5 を試しに点灯してみた

はじめに

ソビエト製の蛍光表示管 IVL2-7/5 を手に入れたので、とりあえず点灯させてみました。

データシート

こちらを参考にしました。

loststeak.com

また、簡単な翻訳、文字起こししたものをこちらで公開しています。

koktoh.hatenablog.com

これをもとに PDF 化したものも用意しました。

github.com

使用機材

IVL2-7/5

www.amazon.co.jp

モータードライバ

www.amazon.co.jp

ちょうど手元にあったのでこれを使いましたが、他のものでも大丈夫だと思います。

24V 電源

akizukidenshi.com

2.1mm標準DCジャック⇔スクリュー端子台

akizukidenshi.com

上記の 24V 電源をブレッドボードに繋ぐために使いました。

Arduino UNO

www.switch-science.com

点灯してみた

フィラメント(ピン 1, 16)に DC/AC を印加することで、輝度に違いが出てきます。
DC の場合は左に行くにつれて暗くなりますが、 AC だと全体が均一に光ります。

DC

AC

説明

DC

ただ線をつなぐだけでいいのでお手軽です。

回路図

f:id:koktoh:20210608171624p:plain

フィラメントに DC を印加する場合の回路図です。
単3電池2本 3V を使用しました。
IVL2-7/5 側の GND は 24V 電源の GND に繋げています。

AC

DC-AC の変換が必要になるので、ちょっと複雑になります。

今回は、モータードライバをインバータの代わりとして、疑似的な交流を作り出しています。
それをフィラメントに印加しています。

回路図

f:id:koktoh:20210608171417p:plain

TA7291P に 5V をかけてますが、2V くらい電圧降下するとどこかで読んだので、たぶん出力は 3V くらいになってると思います。(未検証)
IVL2-7/5 側の GND は 24V 電源の GND に繋げています。

プログラム

#include <avr/io.h>

#define OUT_PINA 9
#define OUT_PINB 10

unsigned int freq = 60;
float duty = 0.5;

void setup() {
    pinMode(OUT_PINA, OUTPUT);
    pinMode(OUT_PINB, OUTPUT);

    TCCR1A = 0b10110000;
    TCCR1B = 0b00010010;

    ICR1 = (unsigned int)(1000000 / freq);

    OCR1A = (unsigned int)(1000000 / freq * duty);

    OCR1B = (unsigned int)(1000000 / freq * duty);
}

void loop() {
}

Arduino UNO の Timer1 を使用して、60Hz でピン 9, 10 の ON/OFF を繰り返し、疑似的な AC 60Hz を出力しています。

こちらを参考にさせていただきました。

blog.kts.jp.net

garretlab.web.fc2.com

usicolog.nomaki.jp

簡単な解説

TCCR1A, TCCR1B で PWM の設定をしています。
各ビットは以下のようになっています。

ビット 7 6 5 4 3 2 1 0
TCCR1A COM1A1 COM1A0 COM1B1 COM1B0 - - WGM11 WGM10
TCCR1B ICNC1 ICES1 - WGM13 WGM12 CS12 CS11 CS10

WGM13 ~ WGM10 で、生成する波形を設定します。
COM1A1, COM1A0 でピン9、 COM1B1, COM1B0 でピン10の PWM を制御します。
CS12 ~ CS10 でクロックを設定します。

今回指定した値とその設定は、以下になります

WGM13 WGM12 WGM11 WGM10 設定
1 0 0 0 位相・周波数基準PWM(TOP 値 = ICR1
COM1A1 COM1A0 設定
1 0 カウンタが OCR1A - TOP値のとき LOW、0 - OCR1A のとき HIGH
COM1B1 COM1B0 設定
1 1 カウンタが OCR1B - TOP値のとき HIGH、0 - OCR1B のとき LOW
CS12 CS11 CS10 設定
0 1 0 分周比8

これを TA7291P に入力することで、疑似的な交流を作成しています。

f:id:koktoh:20210608164225p:plain

おわりに

以上、 IVL2-7/5 を点灯してみたレポでした。
せっかくなので、時計を作るくらいはしたいなーと思ってますが、いつできるかはわかりません。